eBeam Initiative Announces Key Educational Themes for Photomask and Lithography Community for 2014

Sage Design Automation becomes latest company to join eBeam Initiative

SAN JOSE, Calif., Feb. 25, 2014 — (PRNewswire) —  The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, today announced the top educational themes that it will highlight in 2014.  These themes, which were identified based on member company feedback to the Initiative's most recent annual survey completed late last year, include: the growing risks associated with mask hotspots; new developments in multibeam solutions for both maskless and mask-based lithography; and new developments in general purpose graphics processing unit (GPGPU) solutions for simulation-intensive electronic design automation (EDA) applications.  The eBeam Initiative will encourage its members to foster greater development and cooperation in these areas to address emerging photomask and lithography challenges at the 20-nm node and beyond. 

In related news, Sage Design Automation (Sage-DA) has joined the eBeam Initiative to support its educational goals and bring Sage-DA's perspective to the eBeam community.

Entering its sixth year, the eBeam Initiative has grown to more than 40 member companies and advisors who participate in the educational goals surrounding eBeam-based technologies.  The eBeam Initiative is kicking off its 2014 educational theme campaign at its annual members meeting being held today at the SPIE Advanced Lithography Conference in San Jose, Calif., with talks from industry luminaries on why mask hotspots matter, new approaches to addressing rising mask complexity including GPGPU solutions, and mask synthesis for directed self assembly (DSA) applications. 

Mask hotspots, which are wafer-level production issues that occur when the shapes specified by optical proximity correction (OPC) are not faithfully reproduced on the mask, are of particular concern as the semiconductor industry migrates from the 28-nm node to the 20-nm node and beyond.  As part of its educational efforts, the eBeam Initiative commissioned a white paper on the causes of mask hotspots, how they are different from lithography (wafer) hotspots, and solutions to address mask hotspots before they impact mask yields and cycle times, as well as wafer yields.  The white paper is now available on the eBeam Initiative website at www.ebeam.org/mask_hotspots_whitepaper.

"eBeam technology provides a crucial link in every semiconductor design to manufacturing chain, regardless of which advanced lithography approach is being considered.  After more than five years, the eBeam Initiative continues to serve a vital role in providing a forum for the mask and lithography community to explore new challenges that can be solved by eBeam based solutions," stated
Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative.  "Many of our members have indicated that mask hotspots are now an increasingly important issue that the industry must come together to address, making this a natural theme for the eBeam Initiative this year.  Better mask making leads to better wafer making.  By working together to solve the mask hotspot issue, we can enable more complex shapes to be reliably manufactured on the mask, which then addresses the lithography hotspot problem through better masks."

Added Fujimura, "I'd like to welcome Sage-DA to the eBeam Initiative.  Design-to-manufacturing collaboration is a critical part of pushing Moore's Law forward.  The innovations in design rule expression that Sage-DA brings can help make that collaboration tighter."

About The eBeam Initiative
The eBeam Initiative provides a forum for educational and promotional activities regarding new semiconductor manufacturing approaches based on electron beam (eBeam) technologies.  The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time-to-market while increasing the investment in eBeam technologies throughout the semiconductor ecosystem.  Members and advisors, which span the semiconductor ecosystem, include: Abeam Technologies, Advantest, Alchip Technologies, AMTC, Applied Materials, Artwork Conversion, Aselta Nanographics, Cadence Design Systems, CEA-Leti, D2S, Dai Nippon Printing, EQUIcon Software GmbH Jena, eSilicon Corporation, Fastrack Design, Fraunhofer CNT, Fujitsu Semiconductor Limited, GenISys GmbH, GLOBALFOUNDRIES, Grenon Consulting, Hitachi High-Technologies, HOYA Corporation, IMS CHIPS, IMS Nanofabrication AG, JEOL, KLA-Tencor, Mentor Graphics Corporation, Multibeam Corporation, NCS, NuFlare Technology, John Chen from NVIDIA, Petersen Advanced Lithography, Colin Harris from PMC-Sierra, Riko Radojcic from Qualcomm, Sage Design Automation, Samsung Electronics, STMicroelectronics, Synopsys, tau-Metrix, Tela Innovations, TOOL Corporation, Toppan Printing, Vistec Electron Beam Lithography Group, and Hugh Durdan from Xilinx.  Membership is open to all companies and institutions throughout the electronics industry.  To find out more, please visit www.ebeam.org.

SOURCE The eBeam Initiative

Contact:
The eBeam Initiative
Sage Design Automation
Agency Contact, David Moreno, MCA, Tel: +1-650-968-8900, ext. 125, E-mail: Email Contact
Web: http://www.ebeam.org

Featured Video
Latest Blog Posts
Bob Smith, Executive DirectorBridging the Frontier
by Bob Smith, Executive Director
Ansys’ John Lee on Cultivating Trust within his Team
Anupam BakshiAgnisys Automation Review
by Anupam Bakshi
The Role of the Portable Stimulus Standard in VLSI Development
Jobs
Senior CAD Engineer for Nvidia at Santa Clara, California
Technical Staff Engineer - Hardware (FPGA) for Microchip at San Jose, California
Senior Staff Engineer for Samsung Electronics at San Jose, California
RF Design Engineer for Blockwork IT at San Francisco, California
FPGA Design Verification Engineer for General Dynamics Mission Systems at Dedham, Massachusetts
Physical Design Engineer (Multiple Openings) for Samsung Electronics at Austin, Texas
Upcoming Events
SEMICON Southeast Asia 2024 at MITEC Kuala Lumpur Malaysia - May 28 - 30, 2024
3D & Systems Summit - Heterogeneous Systems for the Intelligently Connected Era at Hilton Dresden Hotel An der Frauenkirche 5, 01067 Dresden Germany - Jun 12 - 14, 2024
2024 IEEE Symposium on VLSI Technology & Circuits at HILTON HAWAIIAN VILLAGE HONOLULU HI - Jun 16 - 20, 2024
Design Automation Conference (DAC) 2024 at Moscone West, San Francisco CA - Jun 23 - 27, 2024



© 2024 Internet Business Systems, Inc.
670 Aberdeen Way, Milpitas, CA 95035
+1 (408) 882-6554 — Contact Us, or visit our other sites:
AECCafe - Architectural Design and Engineering TechJobsCafe - Technical Jobs and Resumes GISCafe - Geographical Information Services  MCADCafe - Mechanical Design and Engineering ShareCG - Share Computer Graphic (CG) Animation, 3D Art and 3D Models
  Privacy PolicyAdvertise