Open side-bar Menu
 EDACafe Editorial
Roberto Frazzoli
Roberto Frazzoli
Roberto Frazzoli is a contributing editor to EDACafe. His interests as a technology journalist focus on the semiconductor ecosystem in all its aspects. Roberto started covering electronics in 1987. His weekly contribution to EDACafe started in early 2019.

Special Report: Machine Learning in EDA

 
March 4th, 2021 by Roberto Frazzoli

EDA’s love affair with neural networks is cemented by elective affinities and made unique by the depth and breadth of the challenges

From logic synthesis down to the post-tapeout flow, machine learning has already made inroads in a wide range of EDA tools, enabling shorter turnaround times for chip designs, improving PPA results, and reducing the need for hardware resources across the design cycle. While disruptive advancements are all but unexpected when neural networks come into play, there seems to be something unique in the relationship between Electronic Design Automation and machine learning. On the one hand, it looks like the EDA industry is particularly well equipped to take advantage of the potential of neural networks; on the other hand, the difficulty and diversity of EDA challenges impose the use of several different machine learning solutions, contributing to a uniquely complex ML-enabled flow.

Solving hard problems is business as usual

One aspect that immediately stands out when addressing this subject is the way EDA experts have approached the innovations brought about by neural networks. While they undoubtedly consider machine learning as a disruptive technology enabling exciting results, on the other hand they see neural networks as a natural continuation of what EDA companies have always been doing: writing advanced software to solve hard problems.

“Machine learning is changing the world of software, not just EDA, it’s the next evolution in algorithms,” says Paul Cunningham, Corporate Vice President and General Manager at Cadence. “Our business is complex software, so the math and the computer science behind neural networks and Bayesian methods, all of these deep complex techniques inside machine learning, this is all just very normal for us. We are doing this all the time anyway, this is the software that we write,” he continues. “We have all the experts, there is no problem for us to write the [machine learning] algorithms just from scratch.”

Paul Cunningham. Credit: Cadence

Another reason why machine learning – despite being such a disruptive technology – can be considered a sort of natural development for EDA is that in many tools the new ML-based algorithms are replacing pre-existing traditional heuristic in a way that is invisible to the user. In these cases, “It’s just as using machine learning as a better heuristic,” says Cunningham. “We may have some expert system inside, some other way to take a decision, some rule-based method, and we are now using a neural network-based method for the heuristic, so the customer has no visibility.”

Reciprocal attraction

The complex-software nature of EDA and its special relationship with machine learning are also pointed out by Prith Banerjee, Chief Technical Officer at Ansys: “The best computer science students when I was in academia used to come and work in EDA, because EDA is an area which is super interesting. Almost all the problems we are dealing with are optimization problems, very hard problems that in the computer science literature are called NP-complete. The technology of AI has matured very significantly in the last fifty years, and a lot of the smart computer science students who used to work in EDA [have now] started working in AI/ML.”

Simulation, the core business of Ansys, is attracting special attention from the neural network community, according to Banerjee: “If you look at this year NeurIPS [conference], all those people have stopped working on finding a cat in a picture or a dog in a video, all those simple problems of image and vision, to solve the problems of ML applied to simulation. The best professors are now working on this with their smartest tools.”

Prith Banerjee. Credit: Ansys

Task diversity leads to training diversity

So what do machine learn when they are applied to EDA? How are neural network trained? Even though this may sound obvious to professionals, it’s probably worth noting that EDA challenges have little to do with the classification problems involved in popular AI applications such as image recognition or natural language processing. And ML training in EDA has little to do with the typical AI setting of colossal workloads running in large server farms owned by hyperscalers.

One aspect that sets EDA apart is the diversity and hardness of tasks. Considering the EDA industry as a whole, machine learning capabilities have been added to many different classes of tools, including – in no particular order – place & route, simulation, library characterization, formal proof, logical equivalence, optical proximity correction, test and diagnoses etc. And EDA vendors expect this trend to continue: “My feeling is that there will be machine learning in every Cadence product within the next five years in some form,” says Cunningham for his part. These applications involve a range of difficult problems such as optimization, prediction, space exploration – and each individual type of problem comes in many different forms depending on each specific tool.

In addition, a chip design gradually gets closer to its final version by evolving on several different dimensions – and the evolution on each of these dimensions is driven by some form of knowledge that can be taught to machine leaning algorithms by exposing them to the relevant examples. Trying to extract some general concepts, one could say that designs evolve both over space and over time: over space when, for instance, a tool applies the same equations across the whole chip area at a certain stage of the process; and over time when multiple iterations – driven by the designer’s expertise – gradually take the design to the next stage. In machine learning, this translates into a range of different forms of training.

Machine learning inside and outside

Cadence, for its part, makes a distinction between ‘ML inside’ and ‘ML outside’. As Cunningham explains, “ML-inside means that the ML is ‘under-the-hood’. The user interface to our tool is not changed. For example, a smarter proof solver or a smarter heuristic for estimating routing congestion. ML-outside means the ML wraps around a tool – automating or improving the iterative nature of running our tools. For example, automatically running multiple P&D jobs and picking the best tool settings. Or automatically controlling the randomization across an entire regression run of logic simulations.”

An additional explanation can be found in this article from a Cadence writer: “ML inside is used behind the scenes to reduce the time it takes to arrive at design closure, while with ML outside, expert systems are used to close the loop on iterative design, which is still a very manual process and dependent on the availability and capability of the designer. (…) While ML inside is about improving the results from one part of the flow, ML outside is about accelerating the entire design flow.”

How does ‘ML inside’ work? “Let’s take place and route for example,” says Cunningham. “One of the key things we have to do is predict before routing what will the result of routing be, so we need to estimate the congestion, estimate the coupling capacities etc. We can train an AI system based on pre-route data to predict what will happen in post-route, and this training can be done just based on an internal database: we can generate many, many different configurations, many, many different patterns pre-route, and so we can train an AI system which is already embedded into our product.”

‘ML outside’, instead, is about iterations, as Cunningham explains: “Our customers are iterating; for example, in place and route, they are running many jobs, many trials, different settings. So we can build a system which takes the real customer design and is automatically running different ways, different settings and automatically improving the results. It really is kind of like automating the work that is normally done by the human being, by the design team as they iterate in that process. We have an ‘ML outside’ technology with place and route, we have another one with logic simulation. Digital simulation for our customers is a randomized simulation, it generates a random input and try to catch new bugs. You may be writing thousands of tests with different random inputs on a design for hundreds of thousands, sometimes millions of CPU hours. We can train an AI system to learn from the last time – yesterday or the last week – when you ran the regression, and the next time we can make the randomization much more efficient. We can try to pick the right random values, which would find the bugs and achieve the coverage much faster.”

Augmented simulation, generative design

Simulation specialist Ansys, for its part, considers that the single most important application of machine learning in EDA is what it calls “augmented simulation”. For example, in the case of a thermal solver, the neural network inside the tool learns how to calculate temperature on a small tile of the chip, as Banerjee explains: “Let’s say at this part of the chip, with this power result, the temperature will be 100 degrees; if I slide the tile to just the next tile location with this power result, here is the temperature. So rather than doing a full power calculation, you are training a neural network and pretty soon that neural network become smart enough that you don’t have to run the actual detailed power simulation. It just learns, and it does a very, very fast simulation.”

Design space exploration is another key area where machine learning is being used in EDA. “A designer typically can only do two, three different designs in his head,” says Banerjee. “The power of AI/ML is what is called generative design, where instead of the customer designing three different designs, the tool will automatically explore ten thousand different designs by generative design methods, and then for each of those ten thousand designs, we will use rapid simulation through Ansys to say what are the top ten designs.”

Diversity of applications imposes the use of various ML solutions. The optimization problems for the thermal solver case use AI/ML methods such as data driven neural networks or physics aware neural networks (PINNs); the latter are constrained by the relevant laws of physics to reduce the training data. Hybrid solutions, combining data driven and physics aware neural networks, are also being used. As for design exploration, generative design requires reward functions; topology optimization methods are also being used.

More ML applications: tool setting, cloud resource prediction

Another aspect that makes the ML-EDA relationship unique is the breadth of machine learning application, potentially extending far beyond tool engines. Ansys, for its part, is exploring the use of ML to help designers in setting the tools, and for an accurate prediction of cloud resources required by a specific job (processing time, storage space etc.).

“The most advanced user knows how to use our tools to get the most accurate results in the fastest possible time in a robust manner that will work all the time. You need almost a PhD to know exactly what settings to use. With AI/ML, what we are doing is to improve customer productivity. The ML tool sits by the end user and says: for this design click this, click this, click this, and then run the design. We are using a lot of ML methods to automatically set the parameters in workflows in all our tools, to improve customer productivity,” says Banerjee.

Cloud resource prediction falls in what Ansys calls ‘business intelligence’. Traditional prediction methods are based on simple linear formulas – if you double the number of gates you will likely double the need for hardware resources – leading to inaccurate results. “[This] does not work for really complicated geometries,” Banerjee points out. And this problem “is getting very, very relevant as more of our customers are moving to the cloud,” he adds. “You don’t want to be surprised with the runtime.”

The machine learning-based solution takes into account all the chip parameters that have an impact on cloud resource usage, such as the number of transistors, of vias, of power grids, the clock frequency. The ML algorithm learns how to translate this data into an accurate prediction. “And the more jobs the customers submit, the smarter it gets,” notes Banerjee.

The future role of a collaborative data model

As the EDA love affair with neural network turns into a long term relationship, the industry as a whole is gearing up accordingly. A white paper recently published by Si2 Special Interest Group on AI/ML in EDA calls for a collaborative data model for AI/ML in EDA, maintaining that “a standard, common method, for classification and structure of machine learning training and inference data for interoperability is critical to enable and accelerate the use of artificial intelligence and machine learning in semiconductor design automation.” According to Si2, “a prerequisite for this approach is establishing the best process for organizing, leveraging and sharing data.” As of today, however, the EDA industry seems to consider this a future requirement. “We are more application-limited, not ecosystem limited,” says Cunningham. “If it’s ‘ML inside’, there is no data to share, it’s just part of our product; and even if it’s ‘ML outside’ it’s still a native feature for our software, so there is no sharing of the training data between Cadence and other companies. Standards around ML will play a role, but I think it’s still very early. Maybe it will become critical five years from now, so I think it’s a good time for a working group to start to discuss – he concedes – but it’s not limiting our business today.”

Anoop Saha, Head of Strategy and Growth for the Catapult platform at Siemens EDA – and co-author of a white paper on AI/ML in EDA recently published by Si2 – concedes that a collaborative data model will become more relevant in the future, while stressing the importance of such a model. “[It] is not required to immediately leverage machine learning. But [it will] help the industry to solve more problems. It’s not about just improving the tool, but also improving the flow for the customers, [letting them] use the tool in the best way to leverage it.”

Anoop Saha. Credit: Siemens EDA

Data-aware customer support

In terms of gearing up for machine learning-based EDA, one more aspect highlighted by Saha is the change induced in customer support, as the results provided by ML-enabled EDA tools also depend on the quality of data that has been used to train them: “How do you support the customer if something goes wrong? Our support teams need to be data-aware, they need to be data scientists, because the issue can be from multiple things: it could be a tool issue, [or] it could be a data issue if there is some fundamental problem in the data. Our support needs to identify those issues. Because you are learning from the data, you have to make sure that the data that you’re getting is good data.”

A vision of the future: chip generation from end product specs

To conclude, it’s worth noting that many expectations are placed on machine learning as a key driver for the future evolution of EDA. This is, in particular, the vision depicted by Banerjee: the automatic generation of a chip design based on just the specifications of the end product in which it will be placed. “Today AI is assisting the tools, we are just making the tools faster, better. [In the future] AI will not replace the human, but assist the human in becoming super-duper designer. I will just tell the tool I am trying to design a chip for an electric car, and that car will have to have a range of 300 miles and accelerate from 0 to 50 in four seconds. The AI-enabled tool will do the whole thing for you from a specification, all completely automated.”

Logged in as . Log out »




© 2024 Internet Business Systems, Inc.
670 Aberdeen Way, Milpitas, CA 95035
+1 (408) 882-6554 — Contact Us, or visit our other sites:
TechJobsCafe - Technical Jobs and Resumes EDACafe - Electronic Design Automation GISCafe - Geographical Information Services  MCADCafe - Mechanical Design and Engineering ShareCG - Share Computer Graphic (CG) Animation, 3D Art and 3D Models
  Privacy PolicyAdvertise